Skip to content

Commit

Permalink
Everything seems to work on my "machine". Vivado 2020 synthesis, Viva…
Browse files Browse the repository at this point in the history
…do 2020 Xsim, ghdl simulations, and quartus simulations

Squashed commit of the following:

commit f693ec9
Author: Matthew Schiller <[email protected]>
Date:   Fri May 26 14:29:03 2023 -0400

    Fixes for size of sepa data and fix for I=I Q=I problem.

commit a436eca
Author: Matthew Schiller <[email protected]>
Date:   Fri May 26 11:31:03 2023 -0400

    Fix for xsims and projects

commit 482b810
Author: Matthew Schiller <[email protected]>
Date:   Fri May 26 10:41:59 2023 -0400

    Removing types doc

commit 73d2e66
Merge: 5d5aa2d 4eb6392
Author: Matthew Schiller <[email protected]>
Date:   Fri May 26 10:34:01 2023 -0400

    Merge remote-tracking branch 'origin/main'

commit 5d5aa2d
Author: Matthew Schiller <[email protected]>
Date:   Fri May 26 10:31:23 2023 -0400

    Possible fix for vunit simulations in newer GHDL?

commit 4eb6392
Author: Matthew Schiller <[email protected]>
Date:   Fri May 26 08:09:10 2023 -0400

    Update .gitlab-ci.yml file

commit e154111
Author: Matthew Schiller <[email protected]>
Date:   Fri May 26 07:51:34 2023 -0400

    Update .gitlab-ci.yml file to get ghdl version

commit e868cac
Author: Matthew Schiller <[email protected]>
Date:   Fri May 26 07:41:45 2023 -0400

    fix type errors

commit 4071b36
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 12:48:31 2023 -0400

    Fix syntax errors in run.py

commit aaab566
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 12:45:02 2023 -0400

    Fix to load numpy for all test benches in vunit ghdl

commit 880f2da
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 12:39:29 2023 -0400

    more python fixes

commit f94c4dd
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 12:14:01 2023 -0400

    Updating for vunit 5.0+ as per VUnit/vunit#777

commit a9c15ff
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 11:36:29 2023 -0400

    Removed parts of fixed/float package that confuses GHDL

commit b214ab5
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 11:00:40 2023 -0400

    Try 1241234123 to fix python

commit 1ad5e1c
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 10:53:48 2023 -0400

    MOre python issues...

commit 507f926
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 10:47:14 2023 -0400

    Implemented version 4.7.0 changes as per VUnit/vunit#777

commit 352461f
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 10:41:39 2023 -0400

    Ugh more typos

commit 89ae7d6
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 10:36:32 2023 -0400

    Fix to include new fixed point files to work around vhdl 2008 compatability in Xsim

commit d07430c
Author: Matthew Schiller <[email protected]>
Date:   Thu May 25 10:25:41 2023 -0400

    Changing to vunit docker and runtime installing numpy and pytest
  • Loading branch information
mschiller-nrao committed May 26, 2023
1 parent 01c6d7f commit d8ccd0f
Show file tree
Hide file tree
Showing 37 changed files with 14,770 additions and 7,421 deletions.
41 changes: 40 additions & 1 deletion .gitlab-ci.yml
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
image: gitlabvunit:latest
image: ghdl/vunit:mcode-master
variables:
GIT_CLONE_PATH: $CI_BUILDS_DIR/$CI_CONCURRENT_ID/casper_dspdevel
GIT_SUBMODULE_STRATEGY: recursive
Expand All @@ -11,9 +11,12 @@ casper_accumulators_test: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
- ghdl --version
- /usr/bin/python3 casper_accumulators/run.py --xunit-xml ./casper_accumulators/casper_accumulators_report.xml
artifacts:
when: always
Expand All @@ -27,6 +30,8 @@ casper_adders_test: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -43,6 +48,8 @@ counter: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -59,6 +66,8 @@ delay: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -76,6 +85,8 @@ filter: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -92,6 +103,8 @@ flow_control: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -108,6 +121,8 @@ misc: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -124,6 +139,8 @@ multiplexer: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -140,6 +157,8 @@ multiplier: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -156,6 +175,8 @@ fifo: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -172,6 +193,8 @@ ram: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -188,6 +211,8 @@ rtwosdf_fft: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -204,6 +229,8 @@ rtwosdf_fft_bitaccurate_twid: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -221,6 +248,8 @@ pipe_fft: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -238,6 +267,8 @@ par_fft: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -254,6 +285,8 @@ wide_fft: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -271,6 +304,8 @@ single_filter: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -287,6 +322,8 @@ wide_filter: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand All @@ -303,6 +340,8 @@ wbpfb: # This job runs in the test stage.
tags:
- ghdl
script:
- python3 -m pip install pytest --progress-bar off
- python3 -m pip install numpy --progress-bar off
- echo Attempting to start Vunit Tests
- cd $GIT_CLONE_PATH
- echo Starting Vunit in python3
Expand Down
4 changes: 4 additions & 0 deletions casper_accumulators/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -5,6 +5,7 @@

# Create VUnit instance by parsing command line arguments
vu = VUnit.from_argv()
vu.add_vhdl_builtins()
script_dir = dirname(__file__)

# XPM Library compile
Expand All @@ -28,6 +29,9 @@

# Create library 'common_pkg_lib'
common_pkg_lib = vu.add_library("common_pkg_lib")
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))

# Create library 'ip_xpm_ram_lib'
Expand Down
5 changes: 4 additions & 1 deletion casper_adder/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -58,7 +58,7 @@ def generate_tests(obj, direc, add_sub, inp_pipeline, out_pipeline, in_dat_w):
)

vu = VUnit.from_argv()

vu.add_vhdl_builtins()
script_dir = os.path.dirname(__file__)

lib1 = vu.add_library("casper_adder_lib",allow_duplicate=True)
Expand All @@ -83,6 +83,9 @@ def generate_tests(obj, direc, add_sub, inp_pipeline, out_pipeline, in_dat_w):
lib2.add_source_files(os.path.join(script_dir, "../common_components/*.vhd"))

lib3 = vu.add_library("common_pkg_lib",allow_duplicate = True)
#lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/float_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/*.vhd"))

vu.set_compile_option("ghdl.a_flags", ["-Wno-hide"])
Expand Down
4 changes: 4 additions & 0 deletions casper_counter/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -3,13 +3,17 @@

# Create VUnit instance by parsing command line arguments
vu = VUnit.from_argv()
vu.add_vhdl_builtins()
script_dir = dirname(__file__)

# Create library 'casper_counter_lib'
casper_counter_lib = vu.add_library("casper_counter_lib")
casper_counter_lib.add_source_files(join(script_dir,"./*.vhd"))

common_pkg_lib = vu.add_library("common_pkg_lib")
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))

TB_GENERATED = casper_counter_lib.test_bench("tb_tb_vu_common_counter")
Expand Down
4 changes: 4 additions & 0 deletions casper_delay/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -5,6 +5,7 @@

# Create VUnit instance by parsing command line arguments
vu = VUnit.from_argv()
vu.add_vhdl_builtins()
script_dir = dirname(__file__)

# XPM Library compile
Expand All @@ -28,6 +29,9 @@

# Create library 'common_pkg_lib'
common_pkg_lib = vu.add_library("common_pkg_lib")
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))

# Create library 'ip_xpm_ram_lib'
Expand Down
5 changes: 4 additions & 1 deletion casper_fifo/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
from vunit import VUnit

vu = VUnit.from_argv()

vu.add_vhdl_builtins()
script_dir = os.path.dirname(__file__)

lib1 = vu.add_library("tb_lib",allow_duplicate=True)
Expand All @@ -23,6 +23,9 @@
lib2.add_source_files(os.path.join(script_dir, "../common_components/common_async.vhd"))

lib3 = vu.add_library("common_pkg_lib",allow_duplicate = True)
#lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/float_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/*.vhd"))

lib5 = vu.add_library("dp_pkg_lib",allow_duplicate = True)
Expand Down
17 changes: 16 additions & 1 deletion casper_filter/casper_filter_proj/casper_filter_proj.xpr
Original file line number Diff line number Diff line change
Expand Up @@ -38,7 +38,7 @@
<Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
<Option Name="EnableBDX" Val="FALSE"/>
<Option Name="WTXSimLaunchSim" Val="82"/>
<Option Name="WTXSimLaunchSim" Val="84"/>
<Option Name="WTModelSimLaunchSim" Val="0"/>
<Option Name="WTQuestaLaunchSim" Val="0"/>
<Option Name="WTIesLaunchSim" Val="0"/>
Expand Down Expand Up @@ -67,6 +67,13 @@
<FileSets Version="1" Minor="31">
<FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1">
<Filter Type="Srcs"/>
<File Path="$PPRDIR/../../common_pkg/fixed_pkg_c.vhd">
<FileInfo>
<Attr Name="Library" Val="common_pkg_lib"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PPRDIR/../../common_pkg/common_pkg.vhd">
<FileInfo SFType="VHDL2008">
<Attr Name="Library" Val="common_pkg_lib"/>
Expand Down Expand Up @@ -258,6 +265,14 @@
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PPRDIR/../../common_pkg/float_pkg_c.vhd">
<FileInfo>
<Attr Name="Library" Val="common_pkg_lib"/>
<Attr Name="AutoDisabled" Val="1"/>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<Config>
<Option Name="DesignMode" Val="RTL"/>
<Option Name="TopModule" Val="fil_ppf_wide"/>
Expand Down
5 changes: 4 additions & 1 deletion casper_filter/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,7 @@ def manglePkg(file_name, line_number, new_line):

# Create VUnit instance by parsing command line arguments
vu = VUnit.from_args(args = args)

vu.add_vhdl_builtins()
# XPM Library compile
lib_xpm = vu.add_library("xpm")
lib_xpm.add_source_files(join(script_dir, "../xilinx/xpm_vhdl/src/xpm/xpm_VCOMP.vhd"))
Expand Down Expand Up @@ -62,6 +62,9 @@ def manglePkg(file_name, line_number, new_line):

# COMMON PACKAGE Library
common_pkg_lib = vu.add_library("common_pkg_lib")
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/tb_common_pkg.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_lfsr_sequences_pkg.vhd"))
Expand Down
4 changes: 2 additions & 2 deletions casper_filter/tb_fil_ppf_wide.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -131,12 +131,12 @@ architecture tb of tb_fil_ppf_wide is
-- signal ram_coefs_mosi : t_mem_mosi := c_mem_mosi_rst;
-- signal ram_coefs_miso : t_mem_miso;

signal in_dat_arr : t_fil_slv_arr_in(g_fil_ppf.wb_factor*g_fil_ppf.nof_streams-1 downto 0)(g_fil_ppf.in_dat_w-1 downto 0); -- = t_slv_32_arr fits g_fil_ppf.in_dat_w <= 32
signal in_dat_arr : t_fil_slv_arr_in(g_fil_ppf.wb_factor*g_fil_ppf.nof_streams-1 downto 0); -- = t_slv_32_arr fits g_fil_ppf.in_dat_w <= 32
signal in_val : std_logic;
signal in_val_cnt : natural := 0;
signal in_gap : std_logic := '0';

signal out_dat_arr : t_fil_slv_arr_out(g_fil_ppf.wb_factor*g_fil_ppf.nof_streams-1 downto 0)(g_fil_ppf.out_dat_w-1 downto 0); -- = t_slv_32_arr fits g_fil_ppf.out_dat_w <= 32
signal out_dat_arr : t_fil_slv_arr_out(g_fil_ppf.wb_factor*g_fil_ppf.nof_streams-1 downto 0); -- = t_slv_32_arr fits g_fil_ppf.out_dat_w <= 32
signal out_val : std_logic;
signal out_val_cnt : natural := 0;

Expand Down
4 changes: 4 additions & 0 deletions casper_flow_control/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -4,10 +4,14 @@

# Create VUnit instance by parsing command line arguments
vu = VUnit.from_argv()
vu.add_vhdl_builtins()
script_dir = dirname(__file__)

# Create library 'common_pkg_lib'
common_pkg_lib = vu.add_library("common_pkg_lib")
#common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/float_pkg_c.vhd"))
common_pkg_lib.add_source_files(join(script_dir, "../common_pkg/common_pkg.vhd"))

# Create library 'casper_flow_control_lib'
Expand Down
5 changes: 4 additions & 1 deletion casper_multiplexer/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -45,7 +45,7 @@ def generate_tests(obj,
)

vu = VUnit.from_argv()

vu.add_vhdl_builtins()
script_dir = os.path.dirname(__file__)

lib1 = vu.add_library("casper_multiplexer_lib")
Expand Down Expand Up @@ -73,6 +73,9 @@ def generate_tests(obj,
lib2.add_source_files(os.path.join(script_dir, "../common_components/common_pipeline_sl.vhd"))

lib3 = vu.add_library("common_pkg_lib")
#lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_float_types_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/fixed_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/float_pkg_c.vhd"))
lib3.add_source_files(os.path.join(script_dir, "../common_pkg/*.vhd"))

vu.set_compile_option("ghdl.a_flags", ["-Wno-hide"])
Expand Down
2 changes: 1 addition & 1 deletion casper_multiplier/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@
from os.path import dirname
# Create VUnit instance by parsing command line arguments
vu = VUnit.from_argv()

vu.add_vhdl_builtins()
# Function for mult range calculations
def get_ranges(dat_w,margin):
min_val = -(2**(dat_w-1))
Expand Down
Loading

0 comments on commit d8ccd0f

Please sign in to comment.