Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Series 7 FPGA Overhaul #94

Open
wants to merge 11 commits into
base: master
Choose a base branch
from
Open
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
92 changes: 92 additions & 0 deletions fpga_new/.gitignore
Original file line number Diff line number Diff line change
@@ -0,0 +1,92 @@
#########################################################################################################
## This is an example .gitignore file for Vivado, please treat it as an example as
## it might not be complete. In addition, XAPP 1165 should be followed.
#########################################################################################################
#########
#Exclude all
#########
*
!*/
!.gitignore
###########################################################################
## VIVADO
###########################################################################
#########
#Source files:
#########
#Do NOT ignore VHDL, Verilog, block diagrams or EDIF files.
!*.vhd
!*.v
!*.sv
!*.bd
!*.edif
#########
#IP files
#########
#.xci: synthesis and implemented not possible - you need to return back to the previous version to generate output products
#.xci + .dcp: implementation possible but not re-synthesis
#*.xci(www.spiritconsortium.org)
!*.xci
#*.dcp(checkpoint files)
!*.dcp
!*.vds
!*.pb
#All bd comments and layout coordinates are stored within .ui
!*.ui
!*.ooc
#########
#System Generator
#########
!*.mdl
!*.slx
!*.bxml
#########
#Simulation logic analyzer
#########
!*.wcfg
!*.coe
#########
#MIG
#########
!*.prj
!*.mem
#########
#Project files
#########
#XPR + *.XML ? XPR (Files are merged into a single XPR file for 2014.1 version)
#Do NOT ignore *.xpr files
!*.xpr
#Include *.xml files for 2013.4 or earlier version
!*.xml
#########
#Constraint files
#########
#Do NOT ignore *.xdc files
!*.xdc
#########
#TCL - files
#########
!*.tcl
#########
#Journal - files
#########
!*.jou
#########
#Reports
#########
!*.rpt
!*.txt
!*.vdi
#########
#C-files
#########
!*.c
!*.h
!*.elf
!*.bmm
!*.xmp
#########
#Other files
#########
!*.run
!*.job
133 changes: 133 additions & 0 deletions fpga_new/rc_ports/rc_ports.cache/wt/webtalk_pa.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,133 @@
<?xml version="1.0" encoding="UTF-8" ?>
<document>
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pa" timeStamp="Tue Feb 18 18:46:08 2020">
<section name="Project Information" visible="false">
<property name="ProjectID" value="4c72c98094894428b882a097bcfb7161" type="ProjectID"/>
<property name="ProjectIteration" value="4" type="ProjectIteration"/>
</section>
<section name="PlanAhead Usage" visible="true">
<item name="Project Data">
<property name="SrcSetCount" value="1" type="SrcSetCount"/>
<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
<property name="DesignMode" value="RTL" type="DesignMode"/>
<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/>
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
</item>
<item name="Java Command Handlers">
<property name="AddSources" value="13" type="JavaHandler"/>
<property name="EditDelete" value="3" type="JavaHandler"/>
<property name="EditProperties" value="1" type="JavaHandler"/>
<property name="NewProject" value="1" type="JavaHandler"/>
<property name="OpenProject" value="2" type="JavaHandler"/>
<property name="RunImplementation" value="25" type="JavaHandler"/>
<property name="RunSynthesis" value="35" type="JavaHandler"/>
<property name="SetTopNode" value="8" type="JavaHandler"/>
<property name="ShowView" value="4" type="JavaHandler"/>
<property name="SimulationRestart" value="7" type="JavaHandler"/>
<property name="SimulationRun" value="32" type="JavaHandler"/>
<property name="SimulationRunAll" value="9" type="JavaHandler"/>
<property name="ToolsSettings" value="2" type="JavaHandler"/>
<property name="UpdateSourceFiles" value="1" type="JavaHandler"/>
<property name="ViewTaskImplementation" value="2" type="JavaHandler"/>
<property name="ZoomOut" value="5" type="JavaHandler"/>
</item>
<item name="Gui Handlers">
<property name="AbstractCombinedPanel_REMOVE_SELECTED_ELEMENTS" value="14" type="GuiHandlerData"/>
<property name="AddSrcWizard_SPECIFY_HDL_NETLIST_BLOCK_DESIGN" value="1" type="GuiHandlerData"/>
<property name="AddSrcWizard_SPECIFY_SIMULATION_SPECIFIC_HDL_FILES" value="2" type="GuiHandlerData"/>
<property name="BaseDialog_CANCEL" value="27" type="GuiHandlerData"/>
<property name="BaseDialog_OK" value="99" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OK" value="2" type="GuiHandlerData"/>
<property name="CreateSrcFileDialog_FILE_NAME" value="20" type="GuiHandlerData"/>
<property name="CreateSrcFileDialog_FILE_TYPE" value="18" type="GuiHandlerData"/>
<property name="DefineModulesDialog_DEFINE_MODULES_AND_SPECIFY_IO_PORTS" value="13" type="GuiHandlerData"/>
<property name="DefineModulesDialog_NEW_SOURCE_FILES" value="6" type="GuiHandlerData"/>
<property name="FPGAChooser_FAMILY" value="1" type="GuiHandlerData"/>
<property name="FPGAChooser_FPGA_TABLE" value="1" type="GuiHandlerData"/>
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="132" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="60" type="GuiHandlerData"/>
<property name="GettingStartedView_CREATE_NEW_PROJECT" value="1" type="GuiHandlerData"/>
<property name="GettingStartedView_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_IN" value="22" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_OUT" value="156" type="GuiHandlerData"/>
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="12" type="GuiHandlerData"/>
<property name="HPopupTitle_CLOSE" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_CHECKPOINT" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_EDIT" value="4" type="GuiHandlerData"/>
<property name="MainMenuMgr_EXPORT" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_FILE" value="12" type="GuiHandlerData"/>
<property name="MainMenuMgr_FLOW" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_IP" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_PROJECT" value="6" type="GuiHandlerData"/>
<property name="MainMenuMgr_REPORTS" value="10" type="GuiHandlerData"/>
<property name="MainMenuMgr_TEXT_EDITOR" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_TOOLS" value="6" type="GuiHandlerData"/>
<property name="MainMenuMgr_WINDOW" value="6" type="GuiHandlerData"/>
<property name="MainToolbarMgr_RUN" value="37" type="GuiHandlerData"/>
<property name="MainWinMenuMgr_LAYOUT" value="2" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_SEVERITY" value="2" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="45" type="GuiHandlerData"/>
<property name="MsgView_WARNING_MESSAGES" value="1" type="GuiHandlerData"/>
<property name="NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE" value="14" type="GuiHandlerData"/>
<property name="NetlistTreeView_NETLIST_TREE" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_ADD_SOURCES" value="5" type="GuiHandlerData"/>
<property name="PACommandNames_AUTO_UPDATE_HIER" value="16" type="GuiHandlerData"/>
<property name="PACommandNames_MESSAGE_WINDOW" value="3" type="GuiHandlerData"/>
<property name="PACommandNames_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_RUN_IMPLEMENTATION" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_RUN_SYNTHESIS" value="33" type="GuiHandlerData"/>
<property name="PACommandNames_SET_AS_TOP" value="8" type="GuiHandlerData"/>
<property name="PACommandNames_SET_GLOBAL_INCLUDE" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_LIVE_RESTART" value="7" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_LIVE_RUN_ALL" value="9" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="35" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_SETTINGS" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_SRC_REPLACE_FILE" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_ZOOM_OUT" value="5" type="GuiHandlerData"/>
<property name="PAViews_CODE" value="9" type="GuiHandlerData"/>
<property name="PAViews_DEVICE" value="18" type="GuiHandlerData"/>
<property name="PAViews_PROJECT_SUMMARY" value="22" type="GuiHandlerData"/>
<property name="PowerApi_ON_CHIP_POWER_CONSUMED_BY_USER_IO" value="2" type="GuiHandlerData"/>
<property name="PowerItemTreeTablePanel_POWER_ITEM_TREE_TABLE" value="15" type="GuiHandlerData"/>
<property name="PowerResultTab_REPORT_NAVIGATION_TREE" value="12" type="GuiHandlerData"/>
<property name="ProgressDialog_CANCEL" value="1" type="GuiHandlerData"/>
<property name="ProjectNameChooser_CHOOSE_PROJECT_LOCATION" value="1" type="GuiHandlerData"/>
<property name="ProjectNameChooser_PROJECT_NAME" value="1" type="GuiHandlerData"/>
<property name="ProjectTab_RELOAD" value="2" type="GuiHandlerData"/>
<property name="QuickHelp_HELP" value="1" type="GuiHandlerData"/>
<property name="RDICommands_DELETE" value="4" type="GuiHandlerData"/>
<property name="RDICommands_PROPERTIES" value="1" type="GuiHandlerData"/>
<property name="RDICommands_REDO" value="11" type="GuiHandlerData"/>
<property name="RDICommands_SAVE_FILE" value="39" type="GuiHandlerData"/>
<property name="RDICommands_UNDO" value="20" type="GuiHandlerData"/>
<property name="RDIViews_WAVEFORM_VIEWER" value="23" type="GuiHandlerData"/>
<property name="RemoveSourcesDialog_ALSO_DELETE" value="5" type="GuiHandlerData"/>
<property name="SaveProjectUtils_CANCEL" value="1" type="GuiHandlerData"/>
<property name="SaveProjectUtils_SAVE" value="2" type="GuiHandlerData"/>
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="9" type="GuiHandlerData"/>
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="10" type="GuiHandlerData"/>
<property name="SrcChooserPanel_ADD_DIRECTORIES" value="1" type="GuiHandlerData"/>
<property name="SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT" value="5" type="GuiHandlerData"/>
<property name="SrcChooserPanel_ADD_OR_CREATE_SOURCE_FILE" value="26" type="GuiHandlerData"/>
<property name="SrcChooserPanel_CHANGE_SOURCE_PROPERTIES" value="1" type="GuiHandlerData"/>
<property name="SrcChooserPanel_CREATE_FILE" value="18" type="GuiHandlerData"/>
<property name="SrcChooserTable_SRC_CHOOSER_TABLE" value="21" type="GuiHandlerData"/>
<property name="SrcMenu_IP_HIERARCHY" value="16" type="GuiHandlerData"/>
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="4" type="GuiHandlerData"/>
<property name="TaskBanner_CLOSE" value="2" type="GuiHandlerData"/>
<property name="VioTreeTablePanel_VIO_TREE_TABLE" value="11" type="GuiHandlerData"/>
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="60" type="GuiHandlerData"/>
<property name="WaveformView_GOTO_LAST_TIME" value="2" type="GuiHandlerData"/>
<property name="WaveformView_GOTO_TIME_0" value="13" type="GuiHandlerData"/>
</item>
<item name="Other">
<property name="GuiMode" value="11" type="GuiMode"/>
<property name="BatchMode" value="0" type="BatchMode"/>
<property name="TclMode" value="7" type="TclMode"/>
</item>
</section>
</application>
</document>
1 change: 1 addition & 0 deletions fpga_new/rc_ports/rc_ports.ip_user_files/README.txt
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_1.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_10.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_11.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_12.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_13.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_14.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_15.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_16.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_17.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_18.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_19.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_2.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_20.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_21.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_22.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_23.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

9 changes: 9 additions & 0 deletions fpga_new/rc_ports/rc_ports.runs/.jobs/vrs_config_24.xml
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
<?xml version="1.0"?>
<Runs Version="1" Minor="0">
<Run Id="synth_1" LaunchDir="C:/Users/arthu/Desktop/robocup-firmware/fpga_new/rc_ports/rc_ports.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
<Parameters>
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
</Parameters>
</Runs>

Loading