Skip to content

Commit e9121e6

Browse files
committed
Initial commit
0 parents  commit e9121e6

File tree

8 files changed

+246
-0
lines changed

8 files changed

+246
-0
lines changed

.gitattributes

+2
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,2 @@
1+
# Auto detect text files and perform LF normalization
2+
* text=auto

yolo.cache/wt/project.wpc

+3
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,3 @@
1+
version:1
2+
6d6f64655f636f756e7465727c4755494d6f6465:1
3+
eof:

yolo.hw/yolo.lpr

+6
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,6 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<!-- Product Version: Vivado v2021.2 (64-bit) -->
3+
<!-- -->
4+
<!-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -->
5+
6+
<labtools version="1" minor="0"/>

yolo.srcs/sources_1/new/CONTROLER.sv

Whitespace-only changes.

yolo.srcs/sources_1/new/MEMtoPE.sv

Whitespace-only changes.

yolo.srcs/sources_1/new/PE.sv

Whitespace-only changes.

yolo.srcs/sources_1/new/PEtoMEM.sv

Whitespace-only changes.

yolo.xpr

+235
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,235 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<!-- Product Version: Vivado v2021.2 (64-bit) -->
3+
<!-- -->
4+
<!-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -->
5+
6+
<Project Version="7" Minor="56" Path="D:/Vivado_Verilog/yolo/yolo.xpr">
7+
<DefaultLaunch Dir="$PRUNDIR"/>
8+
<Configuration>
9+
<Option Name="Id" Val="02abde3634c14444a0b44e7f7106ef4a"/>
10+
<Option Name="Part" Val="xcvu095-ffva2104-2-e"/>
11+
<Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
12+
<Option Name="CompiledLibDirXSim" Val=""/>
13+
<Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
14+
<Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
15+
<Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
16+
<Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
17+
<Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
18+
<Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
19+
<Option Name="SimulatorInstallDirModelSim" Val=""/>
20+
<Option Name="SimulatorInstallDirQuesta" Val=""/>
21+
<Option Name="SimulatorInstallDirXcelium" Val=""/>
22+
<Option Name="SimulatorInstallDirVCS" Val=""/>
23+
<Option Name="SimulatorInstallDirRiviera" Val=""/>
24+
<Option Name="SimulatorInstallDirActiveHdl" Val=""/>
25+
<Option Name="SimulatorGccInstallDirModelSim" Val=""/>
26+
<Option Name="SimulatorGccInstallDirQuesta" Val=""/>
27+
<Option Name="SimulatorGccInstallDirXcelium" Val=""/>
28+
<Option Name="SimulatorGccInstallDirVCS" Val=""/>
29+
<Option Name="SimulatorGccInstallDirRiviera" Val=""/>
30+
<Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
31+
<Option Name="SimulatorVersionXsim" Val="2021.2"/>
32+
<Option Name="SimulatorVersionModelSim" Val="2020.4"/>
33+
<Option Name="SimulatorVersionQuesta" Val="2020.4"/>
34+
<Option Name="SimulatorVersionXcelium" Val="20.09.006"/>
35+
<Option Name="SimulatorVersionVCS" Val="R-2020.12"/>
36+
<Option Name="SimulatorVersionRiviera" Val="2020.10"/>
37+
<Option Name="SimulatorVersionActiveHdl" Val="12.0"/>
38+
<Option Name="SimulatorGccVersionXsim" Val="6.2.0"/>
39+
<Option Name="SimulatorGccVersionModelSim" Val="5.3.0"/>
40+
<Option Name="SimulatorGccVersionQuesta" Val="5.3.0"/>
41+
<Option Name="SimulatorGccVersionXcelium" Val="6.3"/>
42+
<Option Name="SimulatorGccVersionVCS" Val="6.2.0"/>
43+
<Option Name="SimulatorGccVersionRiviera" Val="6.2.0"/>
44+
<Option Name="SimulatorGccVersionActiveHdl" Val="6.2.0"/>
45+
<Option Name="BoardPart" Val="xilinx.com:vcu108:part0:1.7"/>
46+
<Option Name="ActiveSimSet" Val="sim_1"/>
47+
<Option Name="DefaultLib" Val="xil_defaultlib"/>
48+
<Option Name="ProjectType" Val="Default"/>
49+
<Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
50+
<Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
51+
<Option Name="IPCachePermission" Val="read"/>
52+
<Option Name="IPCachePermission" Val="write"/>
53+
<Option Name="EnableCoreContainer" Val="FALSE"/>
54+
<Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
55+
<Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
56+
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
57+
<Option Name="EnableBDX" Val="FALSE"/>
58+
<Option Name="DSABoardId" Val="vcu108"/>
59+
<Option Name="WTXSimLaunchSim" Val="0"/>
60+
<Option Name="WTModelSimLaunchSim" Val="0"/>
61+
<Option Name="WTQuestaLaunchSim" Val="0"/>
62+
<Option Name="WTIesLaunchSim" Val="0"/>
63+
<Option Name="WTVcsLaunchSim" Val="0"/>
64+
<Option Name="WTRivieraLaunchSim" Val="0"/>
65+
<Option Name="WTActivehdlLaunchSim" Val="0"/>
66+
<Option Name="WTXSimExportSim" Val="0"/>
67+
<Option Name="WTModelSimExportSim" Val="0"/>
68+
<Option Name="WTQuestaExportSim" Val="0"/>
69+
<Option Name="WTIesExportSim" Val="0"/>
70+
<Option Name="WTVcsExportSim" Val="0"/>
71+
<Option Name="WTRivieraExportSim" Val="0"/>
72+
<Option Name="WTActivehdlExportSim" Val="0"/>
73+
<Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
74+
<Option Name="XSimRadix" Val="hex"/>
75+
<Option Name="XSimTimeUnit" Val="ns"/>
76+
<Option Name="XSimArrayDisplayLimit" Val="1024"/>
77+
<Option Name="XSimTraceLimit" Val="65536"/>
78+
<Option Name="SimTypes" Val="rtl"/>
79+
<Option Name="SimTypes" Val="bfm"/>
80+
<Option Name="SimTypes" Val="tlm"/>
81+
<Option Name="SimTypes" Val="tlm_dpi"/>
82+
<Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
83+
<Option Name="DcpsUptoDate" Val="TRUE"/>
84+
<Option Name="ClassicSocBoot" Val="FALSE"/>
85+
</Configuration>
86+
<FileSets Version="1" Minor="31">
87+
<FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
88+
<Filter Type="Srcs"/>
89+
<File Path="$PSRCDIR/sources_1/new/PEtoMEM.sv">
90+
<FileInfo>
91+
<Attr Name="AutoDisabled" Val="1"/>
92+
<Attr Name="UsedIn" Val="synthesis"/>
93+
<Attr Name="UsedIn" Val="implementation"/>
94+
<Attr Name="UsedIn" Val="simulation"/>
95+
</FileInfo>
96+
</File>
97+
<File Path="$PSRCDIR/sources_1/new/PE.sv">
98+
<FileInfo>
99+
<Attr Name="AutoDisabled" Val="1"/>
100+
<Attr Name="UsedIn" Val="synthesis"/>
101+
<Attr Name="UsedIn" Val="implementation"/>
102+
<Attr Name="UsedIn" Val="simulation"/>
103+
</FileInfo>
104+
</File>
105+
<File Path="$PSRCDIR/sources_1/new/MEMtoPE.sv">
106+
<FileInfo>
107+
<Attr Name="AutoDisabled" Val="1"/>
108+
<Attr Name="UsedIn" Val="synthesis"/>
109+
<Attr Name="UsedIn" Val="implementation"/>
110+
<Attr Name="UsedIn" Val="simulation"/>
111+
</FileInfo>
112+
</File>
113+
<File Path="$PSRCDIR/sources_1/new/CONTROLER.sv">
114+
<FileInfo>
115+
<Attr Name="AutoDisabled" Val="1"/>
116+
<Attr Name="UsedIn" Val="synthesis"/>
117+
<Attr Name="UsedIn" Val="implementation"/>
118+
<Attr Name="UsedIn" Val="simulation"/>
119+
</FileInfo>
120+
</File>
121+
<Config>
122+
<Option Name="DesignMode" Val="RTL"/>
123+
<Option Name="TopAutoSet" Val="TRUE"/>
124+
</Config>
125+
</FileSet>
126+
<FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
127+
<Filter Type="Constrs"/>
128+
<Config>
129+
<Option Name="ConstrsType" Val="XDC"/>
130+
</Config>
131+
</FileSet>
132+
<FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
133+
<Config>
134+
<Option Name="DesignMode" Val="RTL"/>
135+
<Option Name="TopAutoSet" Val="TRUE"/>
136+
<Option Name="TransportPathDelay" Val="0"/>
137+
<Option Name="TransportIntDelay" Val="0"/>
138+
<Option Name="SelectedSimModel" Val="rtl"/>
139+
<Option Name="PamDesignTestbench" Val=""/>
140+
<Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
141+
<Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
142+
<Option Name="PamPseudoTop" Val="pseudo_tb"/>
143+
<Option Name="SrcSet" Val="sources_1"/>
144+
</Config>
145+
</FileSet>
146+
<FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
147+
<Filter Type="Utils"/>
148+
<Config>
149+
<Option Name="TopAutoSet" Val="TRUE"/>
150+
</Config>
151+
</FileSet>
152+
</FileSets>
153+
<Simulators>
154+
<Simulator Name="XSim">
155+
<Option Name="Description" Val="Vivado Simulator"/>
156+
<Option Name="CompiledLib" Val="0"/>
157+
</Simulator>
158+
<Simulator Name="ModelSim">
159+
<Option Name="Description" Val="ModelSim Simulator"/>
160+
</Simulator>
161+
<Simulator Name="Questa">
162+
<Option Name="Description" Val="Questa Advanced Simulator"/>
163+
</Simulator>
164+
<Simulator Name="Riviera">
165+
<Option Name="Description" Val="Riviera-PRO Simulator"/>
166+
</Simulator>
167+
<Simulator Name="ActiveHDL">
168+
<Option Name="Description" Val="Active-HDL Simulator"/>
169+
</Simulator>
170+
</Simulators>
171+
<Runs Version="1" Minor="15">
172+
<Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xcvu095-ffva2104-2-e" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1">
173+
<Strategy Version="1" Minor="2">
174+
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2021">
175+
<Desc>Vivado Synthesis Defaults</Desc>
176+
</StratHandle>
177+
<Step Id="synth_design"/>
178+
</Strategy>
179+
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2021"/>
180+
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
181+
<RQSFiles/>
182+
</Run>
183+
<Run Id="impl_1" Type="Ft2:EntireDesign" Part="xcvu095-ffva2104-2-e" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1">
184+
<Strategy Version="1" Minor="2">
185+
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2021">
186+
<Desc>Default settings for Implementation.</Desc>
187+
</StratHandle>
188+
<Step Id="init_design"/>
189+
<Step Id="opt_design"/>
190+
<Step Id="power_opt_design"/>
191+
<Step Id="place_design"/>
192+
<Step Id="post_place_power_opt_design"/>
193+
<Step Id="phys_opt_design"/>
194+
<Step Id="route_design"/>
195+
<Step Id="post_route_phys_opt_design"/>
196+
<Step Id="write_bitstream"/>
197+
</Strategy>
198+
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2021"/>
199+
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
200+
<RQSFiles/>
201+
</Run>
202+
</Runs>
203+
<Board>
204+
<Jumpers/>
205+
</Board>
206+
<DashboardSummary Version="1" Minor="0">
207+
<Dashboards>
208+
<Dashboard Name="default_dashboard">
209+
<Gadgets>
210+
<Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
211+
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
212+
</Gadget>
213+
<Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
214+
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
215+
</Gadget>
216+
<Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
217+
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
218+
</Gadget>
219+
<Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
220+
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
221+
</Gadget>
222+
<Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
223+
<GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
224+
<GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
225+
<GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
226+
</Gadget>
227+
<Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
228+
<GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
229+
</Gadget>
230+
</Gadgets>
231+
</Dashboard>
232+
<CurrentDashboard>default_dashboard</CurrentDashboard>
233+
</Dashboards>
234+
</DashboardSummary>
235+
</Project>

0 commit comments

Comments
 (0)